返回首页
您的位置:首页 > 新闻 > CCF聚焦

专家谈术语 | 集成芯片

阅读量:33 2024-03-16 收藏本文

本期术语:集成芯片(Integrated Chips)




集成芯片

(Integrated Chips)

作者:刘明 孙凝晖 韩银和 刘琦




InfoBox:

中文名:集成芯片

外文名:Integrated Chips

学科:集成电路、计算机体系结构、微电子、半导体工艺及制造

实质:先将晶体管集成制造为特定功能的芯粒(Chiplet),再按照应用需求将芯粒通过硅基板集成制造为芯片。



背景:

过去几十年来,集成电路芯片遵循摩尔定律的发展,始终依靠尺寸微缩获得算力和性能提升。然而,当尺寸微缩开始接近物理极限,传统的集成电路芯片无法通过增加单位面积的晶体管数量提升计算规模和计算效率,因此,新兴的“集成芯片”(Integrated Chips)开始成为提升集成电路算力和性能的新途径。


概念和定义:

集成芯片与传统集成电路既有关联又有差异。传统的集成电路是将大量晶体管、二极管、电阻、电容等元件集成在硅片上形成的微型电路结构。集成芯片是指先将晶体管集成制造为特定功能的芯粒(Chiplet),再按照应用需求将芯粒通过硅基板(Silicon Interposer)集成制造为芯片。其中,芯粒是指预先制造好、具有特定功能、可组合集成的晶片(die),也称“小芯片”,可以视作芯片化、固化的功能部件;包括通用处理器芯粒、加速器芯粒、存储芯粒、输入输出接口芯粒等[1-5];硅基板[6,7]是指在集成芯片中位于芯粒和封装基板(Substrate)之间连接多个芯粒且基于硅工艺制造的载体。


由来:

集成芯片这一概念起源于集成电路设计制造中面临的的两个重要瓶颈:一方面,芯片良率随面积增加而下降,且芯片尺寸受限于光刻机的光罩极限。因此,台积电于2009年提出由“先封装后拼接”到“先拼接后封装”的先进封装概念,这种直接拼接多个裸片的集成方式极大地提升了芯片设计的可扩展性,突破芯片面积极限,构成了集成芯片的制造基础;另一方面,集成电路面临设计周期长、成本高的问题,美满电子科技公司(Marvell)于2015年ISSCC会议上提出了Mochi(Modular Chip,模块化芯片)架构设计理念[8],通过将完整的系统级芯片拆解为多个功能模块,每个功能模块分别按需使用不同的制程,且独立功能模块可以在不同的芯片设计间复用,达到降低设计和制造成本的目的。这种分离设计制造、异构集成、功能模块复用的理念构成了集成芯片中芯粒的设计基础,迅速受到了学术界和产业界的广泛关注[9-14,19]


随着芯粒集成的芯片设计模式不断丰富和发展,在2022年国家自然科学基金委员会双清论坛“集成芯片前沿技术”上,孙凝晖院士、刘明院士以及蒋尚义先生等我国学者提出了“集成芯片”概念,对基于芯粒集成的芯片设计模式中所涵盖的体系结构设计、集成封装方式、工程材料制造等进行了概括和定义。


优势:

集成芯片成为芯片的新设计范式,一方面,集成芯片摆脱了传统集成电路对工艺提升和新器件的依赖,通过基于基板的多芯粒集成突破单芯片光刻面积极限,利用芯粒分离制造防止芯片良率随芯片面积增长而快速降低,是一条提高集成规模、突破芯片算力极限的新途径[15,19]。另一方面,集成芯片的模块化设计、制造方法带来了芯粒级的复用机会,芯粒级的复用跨过了芯片制造这一环节,对于缩短开发周期、降低成本起关键作用[16]


“集成芯片”与“芯粒”的关系:

在集成芯片这一定义出现前,芯粒曾先行一步成为芯片领域的热点术语。通过集成多个具有不同功能、来自不同的生产和制造商、使用不同材质和工艺结点的芯粒,即可实现芯片的分离制造和灵活组合,突破面积墙、设计成本瓶颈。然而“芯粒”这一术语只能指代其中每个特定功能的晶片,对于多芯粒集成形成的芯片仍需要准确且形象的统一表述。因此“集成芯片”这一术语的出现既准确地表征了这类基于芯粒集成设计模式的芯片,又体现了其与传统单片集成电路的联系与差异。


集成芯片与芯粒互为辩证,相互补充。集成芯片侧重于描述芯片整体,芯粒侧重于描述集成芯片中关键独立功能部件的分离设计。芯粒是集成芯片设计制造中的关键技术,如何设计特定功能、接口统一、可复用、可组合的芯粒,及芯粒标准化设计方法,将对集成芯片性能造成关键影响。集成芯片设计中需要考虑如何灵活地组合芯粒,面向应用需求形成功能完备的集成芯片体系结构。


集成芯片与集成电路单芯片在芯片设计方法与EDA工具上的差异:

与传统集成电路相比,集成芯片新增了“芯粒”这一中间层次,因此在设计方法上与集成电路单芯片存在显著差异。集成电路单芯片设计围绕微观的晶体管和基础部件层面展开,实现集成电路单芯片的完整功能。而集成芯片的设计方法采用基于芯粒的“分解-组合-集成”的新设计范式。“分解”指根据应用特征,抽象出具有不同功能的标准化芯粒预制件,形成芯粒预制件库;“组合”指根据不同应用需求,将芯粒预制件按照某种结构组合设计成不同应用领域所需要的专用芯片和系统。集成芯片的设计方法从系统和应用需求出发,依靠自上而下的方法学,利用芯粒这一中间层次实现从应用到微观架构设计的解耦,实现低费效比的芯片设计。


设计方法的差异也带来了集成芯片对EDA工具的新需求。在架构设计层,传统的集成电路单芯片利用单元库,通过逻辑综合得到IP和设计。集成芯片则以芯粒为基础预制件构建抽象的算核库,通过组合方法得到集成芯片架构设计。在仿真工具层,集成电路单芯片进行RTL级仿真,集成芯片则需要完成多个不同功能芯粒的协同仿真,芯粒与基板的协同仿真等。在物理设计层,由于集成芯片引入硅基板作为多芯粒互连的载体,集成芯片的物理设计工具还需要提供多芯粒在硅基板上的布局布线方案,并保证集成后芯粒的可测性。集成芯片与集成电路单芯片相比引入了更宏观的设计层次,需要EDA工具提供相应支持。


集成芯片和先进微系统、先进封装、三维集成的区别:

集成芯片中也涵盖了先进微系统、先进封装、三维(3D)集成等领域的关键设计理念,然而集成芯片是在半导体设计、制造、集成基础上形成的芯片设计范式,与这些概念仍有本质区别。先进微系统一般指通过系统级封装(System in Package,SiP),将多种功能的芯片集成在一个封装内实现完整的系统功能,是一种松耦合的异质、异构封装形式。而集成芯片强调用硅工艺集成,这是集成方式上的不同。另外,除异构集成特性外,集成芯片还蕴含着“大芯片”的含义,即如何设计制造突破面积极限的芯片,这是先进微系统完全没有触及的领域。


先进封装是在摩尔定律逐渐失效的背景下提高芯片的集成密度和互连速度的方法,包括倒装、晶圆级封装等,起到提高封装效率,降低产品成本的效果;三维集成则通过垂直方向的堆叠提升芯片集成度和性能。集成芯片除集成方式外,还涉及芯粒体系结构、半导体制造技术等领域,先进封装、三维集成均属于集成芯片为了提高集成度而使用的重要集成方式,与集成芯片仍有本质上的不同。另外,我国科学家也提出了晶上系统[17]和集成系统[18]等概念,其在技术理念上与集成芯片具有相似之处。然而,集成芯片更侧重其综合性和面向芯片形态,多芯粒集成的大尺寸芯片也是集成芯片的一种新形态。


总结:

集成芯片在尺寸微缩效益受限和我国先进工艺受限的背景下为芯片设计领域提供了一条新的技术途径,开拓广阔的的发展空间。集成芯片在设计、制造流程的各个技术环节中仍有许多技术缺口,有待多领域合力进行技术攻关。


文章篇幅所限,本文中部分观点在《集成芯片与芯粒技术白皮书》[20]中有更加深入的探讨,敬请参阅。


参考文献:

[1]Nasrullah, Jawad, Zhiquan Luo, and Greg Taylor. "Designing Software Configurable Chips and SIPs using Chiplets and zGlue." International Symposium on Microelectronics. Vol. 2019. No. 1. International Microelectronics Assembly and Packaging Society, 2019.
[2]Naffziger, Samuel, et al. "Pioneering chiplet technology and design for the amd epyc™ and ryzen™ processor families: Industrial product." 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE, 2021.
[3]Blythe, David. "Xehpc ponte vecchio." 2021 IEEE Hot Chips 33 Symposium (HCS). IEEE Computer Society, 2021.
[4]Arunkumar, Akhil, et al. "MCM-GPU: Multi-chip-module GPUs for continued performance scalability." ACM SIGARCH Computer Architecture News 45.2 (2017): 320-332.
[5]Zhu H, Jiao B, Zhang J, et al. COMB-MCM: Computing-on-Memory-Boundary NN Processor with Bipolar Bitwise Sparsity Optimization for Scalable Multi-Chiplet-Module Edge Machine Learning[C]//2022 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2022, 65: 1-3.
[6]A. Kannan et al., "Enabling Interposer-based Disintegration of Multi-core Processors," in MICRO, 2015.
[7]N. E. Jerger et al., "NoC Architectures for Silicon Interposer Systems," in MICRO, 2014.
[8]ISSCC 2015: Sehat Sutardja, The Future of IC Design Innovation.
[9]Xia, Jing, et al. "Kunpeng 920: The first 7-nm chiplet-based 64-Core ARM SoC for cloud services." IEEE Micro 41.5 (2021): 67-75.
[10]T. Singh et al., "2.1 Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core," 2020 IEEE International Solid- State Circuits Conference - (ISSCC), 2020, pp. 42-44
[11]寒武纪思元370 https://www.cambricon.com/index.php?m=content&c=index&a=lists&catid=360
[12]Blythe, David. "Xehpc ponte vecchio." 2021 IEEE Hot Chips 33 Symposium (HCS). IEEE Computer Society, 2021.
[13]Pal, Saptadeep, et al. "Designing a 2048-Chiplet, 14336-Core Waferscale Processor." 2021 58th ACM/IEEE Design Automation Conference (DAC). IEEE, 2021.
[14]Feng Y, Ma K. Chiplet actuary: a quantitative cost model and multi-chiplet architecture exploration[C]//Proceedings of the 59th ACM/IEEE Design Automation Conference. 2022: 121-126.
[15]Shao, Yakun Sophia, et al. "Simba: Scaling deep-learning inference with multi-chip-module-based architecture." Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. 2019.
[16]Beck N, White S, Paraschou M, et al. ‘Zeppelin’: An SoC for multichip architectures[C]//2018 IEEE International Solid-State Circuits Conference-(ISSCC), 2018: 40-42.
[17]邬江兴,携手共建晶上系统“芯”时代,软件定义晶上系统大会,2022.
[18]毛军发,从集成电路到集成系统,世界半导体大会,2022.
[19] Yinhe Han, Haobo Xu, Meixuan Lu, Haoran Wang, Junpei Huang, Ying Wang, Yujie Wang, Feng Min, Qi Liu, Ming Liu, Ninghui Sun.
"The Big Chip: Challenge, Model and Architecture." Fundamental Research, 2023.
[20] 集成芯片与芯粒技术白皮书,https://www.gitlink.org.cn/zone/iChips/source/12,2022.

图片


刘明

刘明,CCF会士、复旦大学集成芯片与系统全国重点实验室主任,中国科学院院士、发展中国家科学院院士、IEEE Fellow,主要研究方向为新型存储器、存内计算、集成芯片、微纳加工等。

邮箱:liuming@fudan.edu.cn。

图片


孙凝晖

孙凝晖,CCF理事长、CCF会士、中国工程院院士。中国科学院计算技术研究所研究员。主要研究方向为计算机体系结构、高性能计算机。

邮箱:snh@ict.ac.cn

图片


韩银和

韩银和,中国科学院计算技术研究所研究员,主要研究方向为专用处理器芯片设计和体系结构、工业/星载专用智能计算机。

邮箱:yinhes@ict.ac.cn

图片


刘琦

刘琦,复旦大学芯片与系统前沿技术研究院副院长,教授,主要研究方向为非易失存储器、神经形态器件与计算。

邮箱:qi_liu@fudan.edu.cn。


计算机术语审定委员会及术语平台介绍:

计算机术语审定委员会(Committee on Terminology)主要职能为收集、翻译、释义、审定和推荐计算机新词,并在CCF平台上宣传推广。这对厘清学科体系,开展科学研究,并将科学和知识在全社会广泛传播,都具有十分重要的意义。术语众包平台CCFpedia的建设和持续优化,可以有效推进中国计算机术语的收集、审定、规范和传播工作,同时又能起到各领域规范化标准定制的推广作用。新版的CCFpedia计算机术语平台(http://term.ccf.org.cn)将术语的编辑运营与浏览使用进行了整合,摒弃老版中跨平台操作的繁琐步骤,在界面可观性上进行了升级,让用户能够简单方便地查阅术语信息。同时,新版平台中引入知识图谱的方式对所有术语数据进行组织,通过图谱多层关联的形式升级了术语浏览的应用形态。

计算机术语审定工作委员会:

主任:

李国良(清华大学)

副主任:

林俊宇(中国科学院信息工程研究所)

王昊奋(同济大学)

主任助理:

李一斌(上海海乂知信息科技有限公司)

执行委员:

丁   军(上海海乂知信息科技有限公司)

兰艳艳(清华大学)

李博涵(南京航空航天大学)

彭   鑫(复旦大学)

张伟男(哈尔滨工业大学)

委员:

柴成亮(北京理工大学)

李晨亮(武汉大学)

张   鹏(天津大学)

王昌栋(中山大学)

张宁豫(浙江大学)

孔祥杰(浙江工业大学)

魏   巍(华中科技大学)


术语投稿热线:ccfpedia@ccf.org.cn